市場調査レポート
商品コード
1266872

半導体製造装置の世界市場:市場シェア、市場予測

Global Semiconductor Equipment: Markets, Market Shares and Market Forecasts

出版日: | 発行: Information Network | ページ情報: 英文 | 納期: 2~3営業日

価格
価格表記: USDを日本円(税抜)に換算
本日の銀行送金レート: 1USD=156.76円
半導体製造装置の世界市場:市場シェア、市場予測
出版日: 2024年03月01日
発行: Information Network
ページ情報: 英文
納期: 2~3営業日
  • 全表示
  • 概要
  • 図表
  • 目次
概要

当レポートでは、世界の半導体製造装置市場について調査し、27部門それぞれの分析や、主要サプライヤー49社のプロファイルなどを提供しています。

目次

第1章 イントロダクション

第2章 半導体業界の促進要因

  • イントロダクション
  • ファウンドリ
    • ファウンドリの設備投資の動向
    • ファウンドリの収益成長
    • ファウンドリの市場シェア
    • 収益成長:ファウンドリ別
    • 世界のファウンドリ市場の動向:用途別
    • 世界のファウンドリ市場の動向:顧客タイプ別
    • 世界のファウンドリ市場の動向:デバイス別
    • ファウンドリ技術の線幅ロードマップ
    • 生産能力の動向:線幅別
    • 生産能力の比率:地域別
  • DRAM
    • DRAMの現在と将来の生産能力:メーカー別
    • DRAM収益需要予測:用途別
    • DRAMの市場シェア
    • DRAM設備投資
  • NAND
    • NAND収益需要予測:用途別
    • NANDの市場シェア
    • 3D NANDの生産能力
  • 中国
    • 中国の半導体インフラ
    • 中国の半導体市場
    • 中国のIC生産
    • 中国のIC消費
    • 中国のIC生産/消費の比率
    • 中国のIC輸入要件
    • 中国のICの需給
    • 中国のICファブの生産能力
    • 中国のICファブの生産能力:地域別
    • 中国の8・12インチのファブとファウンドリ
    • 中国のICファブの生産能力:ウエハーサイズ別
    • 中国のIC製造能力:形状別
    • 中国のIC生産:タイプ別

第3章 市場事業部門のシェアと予測

  • AMHSの市場シェアと予測
  • CMPの市場シェアと予測
  • クリーンの市場シェアと予測
    • プラズマストリップ
    • スプレープロセッサー
    • ウェットステーション
  • 成膜の市場シェアと予測
    • ALD
    • エピタキシー
    • MOCVD
    • LPCVD(非チューブ)
    • LPCVD(チューブ)
    • PECVD
    • PVD
  • イオン注入の市場シェアと予測
    • 高電流
    • 高エネルギー
    • 中電流
  • リソグラフィの市場シェアと予測
    • 直接描画電子ビームリソグラフィ
    • マスク製造リソグラフィ
    • ステッパー
  • 酸化/拡散の市場シェアと予測
  • フォトレジストプロセス(トラック)の市場シェアと予測
  • RTPの市場シェアと予測
  • プラズマエッチングの市場シェアと予測
    • 誘電性
    • 導電性
  • プロセス制御の市場シェアと予測
    • リソグラフィ計測
    • 薄膜計測
    • ウエハー検査と欠陥レビュー
  • ウエハーレベルパッケージングの市場シェアと予測

第4章 米国の半導体装置サプライヤー - プロファイル

  • Applied Materials
  • Axcelis Technologies
  • KLA-Tencor
  • Lam Research
  • Nanometrics
  • Rudolph Technologies
  • Veeco

第5章 欧州の半導体装置サプライヤー - プロファイル

  • Aixtron
  • ASM International
  • ASML
  • Carl Zeiss
  • Camtek
  • EV Group
  • LPE
  • Mycronic
  • Nova Measuring Instruments
  • Oerlikon/Evatec
  • Semilab

第6章 アジアの半導体装置サプライヤー - プロファイル

  • Advantest
  • Canon
  • Canon Anelva
  • Daifuku
  • Ebara
  • Eugene Technology
  • Hitachi High-Technologies
  • Hitachi Kokusai Electric
  • JEOL
  • Jusung Engineering
  • KC Tech
  • Lasertec
  • Murata Machinery
  • Nikon
  • Nippon Sanso
  • Nissin Ion Equipment
  • NuFlare Technology
  • PSK
  • Screen Semiconductor Solutions
  • SEMES
  • SEN
  • TES
  • Tokyo Electron
  • Tokyo Seimitsu
  • Topcon Technohouse
  • Toray Engineering
  • Ulvac
  • Ushio
  • Wonik IPS
図表

LIST OF TABLES

  • 2.1. Foundry Capex ($ Billions) And Shares (%)
  • 2.2. Foundry Forecast
  • 2.3. Pure Play Foundry Revenue Shares
  • 2.4. Global Foundry Market By Application
  • 2.5. Global Foundry Market By Customer Type
  • 2.6. Global Foundry Market By Device Type
  • 2.7. Technology Roadmaps For China Foundries
  • 2.8. Global Foundry Market By Linewidth
  • 2.9. Foundry Capacity By Region
  • 2.10. DRAM Wafer Capacity Forecast by Fab - Wafers
  • 2.11. DRAM Bit Growth Demand Forecast by Application
  • 2.12. DRAM Revenue Demand Forecast by Application
  • 2.13. DRAM Market Shares
  • 2.14. DRAM Capex Forecast by Company
  • 2.15. NAND Wafer Capacity by Company Fab
  • 2.16. NAND Bit Growth Supply Forecast by Company
  • 2.17. NAND Bit Growth Demand Forecast by Application
  • 2.18. NAND Market Shares
  • 2.19. 3D NAND Wafer Capacity Forecast by Fab
  • 2-20. Capex Forecast By Memory And Other Ic Manufacturers
  • 2-21. China's 8- And 12-Inch Fabs and Foundries
  • 3.1. AMHS Market Forecast
  • 3.2. CMP Market Forecast
  • 3.3. Plasma Strip Market Forecast
  • 3.4. Spray Processors Market Forecast
  • 3.5. Wet Stations Market Forecast
  • 3.6. ALD Market Forecast
  • 3.7. Epitaxy Market Forecast
  • 3.8. MOCVD Market Forecast
  • 3.9. LPCVD (non-tube) Market Forecast
  • 3.10. LPCVD (tube) Market Forecast
  • 3.11. PECVD Market Forecast
  • 3.12. PVD Market Forecast
  • 3.13. High-Current Ion Implantation Market Forecast
  • 3.14. High-Voltage Ion Implantation Market Forecast
  • 3.15. Medium-Current Ion Implantation Market Forecast
  • 3.16. Direct-Write E-Beam Lithography Market Forecast
  • 3.17. Mask-Making Lithography Market Forecast
  • 3.18. Steppers Market Forecast
  • 3.19. Oxidation Market Forecast
  • 3.20. Photoresist Processing (Track) Market Forecast
  • 3.21. RTP Market Forecast
  • 3.22. Dielectric Etch Market Forecast
  • 3.23. Conductive Etch Market Forecast
  • 3.24. Lithography Metrology Market Forecast
  • 3.25. Thin-Film Metrology Market Forecast
  • 3.26. Wafer Inspection and Defect Review Market Forecast
  • 3.27. Wafer-Level Packaging Market Forecast

LIST OF FIGURES

  • 2.1. China's IC Production Forecast
  • 2.2. China's IC Consumption
  • 2.3. China's IC Production/Consumption Ratio
  • 2.4. China's IC Import Requirements
  • 2.5. China's IC Supply/Demand
  • 2.6. China's IC Fab Capacity
  • 2.7. China's IC Fab Capacity by Region
  • 2.8. China's IC Fab Capacity by Wafer Size
  • 2.9. China's IC Fab Capacity by Geometry
  • 2.10. China's IC Production by Type
  • 3.1. AMHS Market Shares
  • 3.2. CMP Market Shares
  • 3.3. Plasma Strip Market Shares
  • 3.4. Spray Processors Market Shares
  • 3.5. Wet Stations Market Shares
  • 3.6. ALD Market Shares
  • 3.7. Epitaxy Market Shares
  • 3.8. MOCVD Market Shares
  • 3.9. LPCVD (non-tube) Market Shares
  • 3.10. LPCVD (tube) Market Shares
  • 3.11. PECVD Market Shares
  • 3.12. PVD Market Shares
  • 3.13. High-Current Ion Implantation Market Shares
  • 3.14. High-Voltage Ion Implantation Market Shares
  • 3.15. Medium-Current Ion Implantation Market Shares
  • 3.16. Direct-Write E-Beam Lithography Market Shares
  • 3.17. Mask-Making Lithography Market Shares
  • 3.18. Steppers Market Shares
  • 3.19. Oxidation Market Shares
  • 3.20. Photoresist Processing (Track) Market Shares
  • 3.21. RTP Market Shares
  • 3.22. Dielectric Etch Market Shares
  • 3.23. Conductive Etch Market Shares
  • 3.24. Lithography Metrology Market Shares
  • 3.25. Thin-Film Metrology Market Shares
  • 3.26. Wafer Inspection and Defect Review Market Shares
  • 3.27. Wafer-Level Packaging Market Shares
目次

Semiconductor manufacturers are accelerating their shrinkage roadmap. The demand for semiconductor equipment is largely driven by the additional tools required to migrate chip designs to leading-edge nodes. With capacity-driven capex slowing down, semiconductor equipment demand may be unaffected if chipmakers are moving more aggressively to the leading-edge nodes.

China will further accelerate its semiconductor roadmap and capacity-building progress: China has been actively expanding its semiconductor-production capacity and manufacturing facilities. There has been no significant disruption to China's semiconductor industry during the COVID-19 outbreak. For example, Wuhan-based Yangtze Memory Technologies (YMTC) continued operations throughout the lockdown. China currently spends about $5bn on wafer-fab equipment and it can help to offset any potential capex cut from the large chipmakers if it believes its current progress justifies additional investment.

The semiconductor equipment industry's revenue is highly sensitive to the type of chips that are driving demand. The level of semiconductor capex spent by chipmakers: Semiconductor equipment players' revenues are highly sensitive to the capex of chipmakers, such as foundry/logic players (Intel, Taiwan Semiconductor Manufacturing Company, Samsung LSI) and memory players (Micron, SK Hynix and Samsung).

General demand and types of chips required: New semiconductor equipment is required more in making leading-edge chips and computationally intense applications, such as application processors, server chips and CPUs.

The demand for semiconductor equipment is largely driven by the additional tools required for chipmakers to migrate to leading-edge nodes. This is especially the case because ALD tools are required more in foundry and logic chip manufacturing processes. Foundry and logic players are, compared with memory manufacturers, more committed to their shrinkage roadmaps and are therefore more likely to invest in advanced tools, such as ALD.

This report describes the semiconductor equipment markets for 27 different sectors and profiles 49 leading equipment suppliers in the U.S., Europe, and Asia. Market shares for each company for each sector are presented.

Table of Contents

Chapter 1 Introduction

Chapter 2 Semiconductor Industry Driving Forces

  • 2.1. Introduction
  • 2.2. Foundries
    • 2.2.1. Foundry Capex Trends
    • 2.2.2. Foundry Revenue Growth
    • 2.2.3. Foundry Market Share
    • 2.2.4. Revenue Growth by Foundry
    • 2.2.5. Global Foundry Market Trend by Application
    • 2.2.6. Global Foundry Market Trend by Customer Type
    • 2.2.7. Global Foundry Market Trend by Device
    • 2.2.8. Foundry Technology Linewidth Roadmap
    • 2.2.9. Capacity trend by linewidth
    • 2.2.10. Capacity ratio by region
  • 2.3. DRAM
    • 2.3.1. Present and Future Capacity of DRAM by Manufacturer
    • 2.3.2. DRAM Revenue Demand Forecast By Application
    • 2.3.3. DRAM Market Shares
    • 2.3.4. DRAM Capex
  • 2.4. NAND
    • 2.4.1. NAND Revenue Demand Forecast By Applications
    • 2.4.2. NAND Market Shares
    • 2.4.3. 3D NAND Capacity
  • 2.5. China
    • 2.5.1. China's Semiconductor Infrastructure
    • 2.5.2. China's Semiconductor Market
    • 2.5.3. China's IC Production
    • 2.5.4. China's IC Consumption
    • 2.5.5. China's IC Production/Consumption Ratio
    • 2.5.6. China's IC Import Requirements
    • 2.5.7. China's IC Supply/Demand
    • 2.5.8. China's IC Fab Capacity
    • 2.5.9. China's IC Fab Capacity by Region
    • 2.5.10. China's 8- And 12-Inch Fabs and Foundries
    • 2.5.11. China's IC Fab Capacity by Wafer Size
    • 2.5.12. China's IC Fab Capacity by Geometry
    • 2.5.13. China's IC Production by Type

Chapter 3 Market Business Sectors Shares and Forecast

  • 3.1. AMHS Market Shares and Forecast
  • 3.2. CMP Market Shares and Forecast
  • 3.3. Clean Market Shares and Forecast
    • 3.3.1. Plasma Strip
    • 3.3.2. Spray Processors
    • 3.3.3. Wet Stations
  • 3.4. Deposition Market Shares and Forecast
    • 3.4.1. ALD
    • 3.4.2. Epitaxy
    • 3.4.3. MOCVD
    • 3.4.4. LPCVD (non-tube)
    • 3.4.5. LPCVD (tube)
    • 3.4.6. PECVD
    • 3.4.7. PVD
  • 3.5. Ion Implantation Market Shares and Forecast
    • 3.5.1. High-Current
    • 3.5.2. High-Energy
    • 3.5.3. Medium-Current
  • 3.6. Lithography Market Shares and Forecast
    • 3.6.1. Direct-Write E-Beam Lithography
    • 3.6.2. Mask-Making Lithography
    • 3.6.3. Steppers
  • 3.7. Oxidation/Diffusion Market Shares and Forecast
  • 3.8. Photoresist Processing (Track) Market Shares and Forecast
  • 3.9. RTP Market Shares and Forecast
  • 3.10. Plasma Etch Market Shares and Forecast
    • 3.10.1. Dielectric
    • 3.10.2. Conductive
  • 3.11. Process Control Market Shares and Forecast
    • 3.11.1. Lithography Metrology
    • 3.11.2. Thin-Film Metrology
    • 3.11.3. Wafer Inspection and Defect Review
  • 3.12. Wafer-Level Packaging Market Shares and Forecast

Chapter 4 U.S. Semiconductor Equipment Suppliers - Profiles

  • 4.1. Applied Materials
    • 4.1.1. Business Sectors Covered In The Market Analysis Chapter
    • 4.1.2. Company Profile
    • 4.1.3. Company Financials
  • 4.2. Axcelis Technologies
    • 4.1.2. Business Sectors Covered In The Market Analysis Chapter
    • 4.2.2. Company Profile
    • 4.2.3. Company Financials
  • 4.3. KLA-Tencor
    • 4.3.1. Business Sectors Covered In The Market Analysis Chapter
    • 4.3.2. Company Profile
    • 4.3.3. Company Financials
  • 4.4. Lam Research
    • 4.4.1. Business Sectors Covered In The Market Analysis Chapter
    • 4.4.2. Company Profile
    • 4.4.3. Company Financials
  • 4.5. Nanometrics
    • 4.5.1. Business Sectors Covered In The Market Analysis Chapter
    • 4.5.2. Company Profile
    • 4.5.3. Company Financials
  • 4.6. Rudolph Technologies
    • 4.6.1. Business Sectors Covered In The Market Analysis Chapter
    • 4.6.2. Company Profile
    • 4.6.3. Company Financials
  • 4.7. Veeco
    • 4.7.1. Business Sectors Covered In The Market Analysis Chapter
    • 4.7.2. Company Profile
    • 4.7.3. Company Financials

Chapter 5 European Semiconductor Equipment Suppliers - Profiles

  • 5.1. Aixtron
    • 5.1.1. Business Sectors Covered In The Market Analysis Chapter
    • 5.1.2. Company Profile
    • 5.1.3. Company Financials
  • 5.2. ASM International
    • 5.2.1. Business Sectors Covered In The Market Analysis Chapter
    • 5.2.2. Company Profile
    • 5.2.3. Company Financials
  • 5.3. ASML
    • 5.3.1. Business Sectors Covered In The Market Analysis Chapter
    • 5.3.2. Company Profile
    • 5.3.3. Company Financials
  • 5.4. Carl Zeiss
    • 5.4.1. Business Sectors Covered In The Market Analysis Chapter
    • 5.4.2. Company Profile
    • 5.4.3. Company Financials
  • 5.5. Camtek
    • 5.5.1. Business Sectors Covered In The Market Analysis Chapter
    • 5.5.2. Company Profile
    • 5.5.3. Company Financials
  • 5.6. EV Group
    • 5.6.1. Business Sectors Covered In The Market Analysis Chapter
    • 5.6.2. Company Profile
    • 5.6.3. Company Financials
  • 5.7. LPE
    • 5.7.1. Business Sectors Covered In The Market Analysis Chapter
    • 5.7.2. Company Profile
    • 5.7.3. Company Financials
  • 5.8. Mycronic
    • 5.8.1. Business Sectors Covered In The Market Analysis Chapter
    • 5.8.2. Company Profile
    • 5.8.3. Company Financials
  • 5.9. Nova Measuring Instruments
    • 5.9.1. Business Sectors Covered In The Market Analysis Chapter
    • 5.9.2. Company Profile
    • 5.9.3. Company Financials
  • 5.10. Oerlikon / Evatec
    • 5.10.1. Business Sectors Covered In The Market Analysis Chapter
    • 5.10.2. Company Profile
    • 5.10.3. Company Financials
  • 5.11. Semilab
    • 5.11.1. Business Sectors Covered In The Market Analysis Chapter
    • 5.11.2. Company Profile
    • 5.11.3. Company Financials

Chapter 6 Asian Semiconductor Equipment Suppliers - Profiles

  • 6.1. Advantest
    • 6.1.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.1.2. Company Profile
    • 6.1.3. Company Financials
  • 6.2. Canon
    • 6.2.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.2.2. Company Profile
    • 6.2.3. Company Financials
  • 6.3. Canon Anelva
    • 6.3.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.3.2. Company Profile
    • 6.3.3. Company Financials
  • 6.4. Daifuku
    • 6.4.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.4.2. Company Profile
    • 6.4.3. Company Financials
  • 6.5. Ebara
    • 6.5.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.5.2. Company Profile
    • 6.5.3. Company Financials
  • 6.6. Eugene Technology
    • 6.6.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.6.2. Company Profile
    • 6.6.3. Company Financials
  • 6.7. Hitachi High-Technologies
    • 6.7.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.7.2. Company Profile
    • 6.7.3. Company Financials
  • 6.8. Hitachi Kokusai Electric
    • 6.8.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.8.2. Company Profile
    • 6.8.3. Company Financials
  • 6.9. JEOL
    • 6.9.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.9.2. Company Profile
    • 6.9.3. Company Financials
  • 6.10. Jusung Engineering
    • 6.10.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.10.2. Company Profile
    • 6.10.3. Company Financials
  • 6.11. KC Tech
    • 6.11.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.11.2. Company Profile
    • 6.11.3. Company Financials
  • 6.12. Lasertec
    • 6.12.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.12.2. Company Profile
    • 6.12.3. Company Financials
  • 6.13. Murata Machinery
    • 6.13.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.13.2. Company Profile
    • 6.13.3. Company Financials
  • 6.14. Nikon
    • 6.14.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.14.2. Company Profile
    • 6.14.3. Company Financials
  • 6.15. Nippon Sanso
    • 6.15.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.15.2. Company Profile
    • 6.15.3. Company Financials
  • 6.16. Nissin Ion Equipment
    • 6.16.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.16.2. Company Profile
    • 6.16.3. Company Financials
  • 6.17. NuFlare Technology
    • 6.17.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.17.2. Company Profile
    • 6.17.3. Company Financials
  • 6.18. PSK
    • 6.18.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.18.2. Company Profile
    • 6.18.3. Company Financials
  • 6.19. Screen Semiconductor Solutions
    • 6.19.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.19.2. Company Profile
    • 6.19.3. Company Financials
  • 6.20. SEMES
    • 6.20.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.20.2. Company Profile
    • 6.20.3. Company Financials
  • 6.21. SEN
    • 6.21.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.21.2. Company Profile
    • 6.21.3. Company Financials
  • 6.22. TES
    • 6.22.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.22.2. Company Profile
    • 6.22.3. Company Financials
  • 6.23. Tokyo Electron
    • 6.23.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.23.2. Company Profile
    • 6.23.3. Company Financials
  • 6.24. Tokyo Seimitsu
    • 6.24.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.24.2. Company Profile
    • 6.24.3. Company Financials
  • 6.25. Topcon Technohouse
    • 6.25.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.25.2. Company Profile
    • 6.25.3. Company Financials
  • 6.26. Toray Engineering
    • 6.26.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.26.2. Company Profile
    • 6.26.3. Company Financials
  • 6.27. Ulvac
    • 6.27.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.27.2. Company Profile
    • 6.27.3. Company Financials
  • 6.28. Ushio
    • 6.28.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.28.2. Company Profile
    • 6.28.3. Company Financials
  • 6.29. Wonik IPS
    • 6.29.1. Business Sectors Covered In The Market Analysis Chapter
    • 6.29.2. Company Profile
    • 6.29.3. Company Financials