市場調査レポート
商品コード
1266899

薄膜蒸着:動向、重要課題、市場分析

Thin Film Deposition: Trends, Key Issues, Market Analysis

出版日: | 発行: Information Network | ページ情報: 英文 | 納期: 2~3営業日

価格
価格表記: USDを日本円(税抜)に換算
本日の銀行送金レート: 1USD=155.46円
薄膜蒸着:動向、重要課題、市場分析
出版日: 2024年03月01日
発行: Information Network
ページ情報: 英文
納期: 2~3営業日
  • 全表示
  • 概要
  • 目次
概要

当レポートでは、薄膜蒸着市場について調査し、成膜材料と成膜装置の技術動向、製品、用途、サプライヤーについて解説しています。また、将来のユーザーニーズ、薄膜蒸着装置の各分野の市場シェアと市場予測などもまとめています。

目次

第1章 イントロダクション

第2章 エグゼクティブサマリー

第3章 物理蒸着

  • イントロダクション
  • スパッタリング技術
  • プラズマ技術
  • リアクター設計
  • 半導体加工
  • ターゲット

第4章 化学蒸着

  • イントロダクション
  • 化学蒸着(CVD)技術
    • APCVD
    • LPCVD
    • PECVD
    • HDPCVD
    • ALD

第5章 電気化学堆積

  • イントロダクション
  • リアクター設計
  • 課題
  • 添加物
  • 加工
  • 銅陰極
  • ウェット銅シード層

第6章 成膜と膜特性

  • イントロダクション
  • 誘電体堆積
  • 金属蒸着

第7章 ベンダーの問題

  • イントロダクション
  • 450mm加工
  • 統合処理
  • 計測学
  • ESD
  • パラメトリックテスト

第8章 市場予測

  • イントロダクション
  • 主要な問題
  • 市場予測の前提条件
  • 市場予測
目次

CVD (Chemical Vapor Deposition) is used to deposit materials in various forms, including monocrystalline, polycrystalline, amorphous, and epitaxial. By subtypes, there are mainly LPCVD (low pressure), PECVD (plasma enhanced), and ALD. PVD deposition techniques include sputtering and eBeam and thermal evaporation.

The CVD process involves mixing the source material with one or more volatile precursors using a plasma to chemically interact and breakdown the source material. The processes use heat with higher pressures leading to a more reproducible film where the film thicknesses could be managed by time/power. These films are more stoichiometric, they are denser and are capable of growing higher quality insulator films. The PVD processing uses a solid precursor metal that is gasified through some electrical energy. The gasified atoms are then transferred to the substrate. These processes manages thicknesses using a quartz crystal rate monitor to control rate and thickness of the film.

ALD films are very conformal approaching 2000:1 aspect ratios, thus providing excellent step coverage over features. The process is repeatable and can grow thinner layers under 10nm thickness predictably. Films include Alumina oxide (AL2O3), Hafnium oxide (HfO2) and Titanium oxide (TiO2). Its use in the semiconductor industry has advanced ALD rapidly in recent years to develop thin, high-K gate dielectric layers.

The PECVD process offers good step coverage over features. Films include Silicon Dioxide (SiO2), Silicon Nitride (Si3N4) and lower stress Oxynitride (SiON) films. The PECVD films offer more flexibility than ALD with higher deposition rates leading to higher throughputs.

This report discusses the technology trends, products, applications, and suppliers of deposition materials and equipment. It also gives insights to suppliers for future user needs and should assist them in long range planning, new product development and product improvement. Market shares and a market forecast for each sector of thin film deposition tools is presented.

Table of Contents

Chapter 1 Introduction

Chapter 2 Executive Summary

Chapter 3 Physical Vapor Deposition

  • 3.1. Introduction
  • 3.2. Sputtering Technology
  • 3.3. Plasma Technology
  • 3.4. Reactor Designs
    • 3.4.1. Long-Throw Deposition
    • 3.4.2. Collimated Sputter Deposition
    • 3.4.3. Showerhead Deposition
    • 3.4.4. Ionized PVD
  • 3.5. Semiconductor Processing
  • 3.6. Targets

Chapter 4 Chemical Vapor Deposition

  • 4.1. Introduction
  • 4.2. Chemical Vapor Deposition (CVD) Techniques
    • 4.2.1. APCVD
    • 4.2.2. LPCVD
    • 4.2.3. PECVD
    • 4.2.4. HDPCVD
    • 4.2.5. ALD

Chapter 5 Electrochemical Deposition

  • 5.1. Introduction
  • 5.2. Reactor Design
  • 5.3. Challenges
  • 5.4. Additives
  • 5.5. Processing
    • 5.5.1. Superfilling
    • 5.5.2. Aspect Ratios
  • 5.6. Copper Cathodes
  • 5.7. Wet Copper Seed-Layer

Chapter 6 Film Deposition And Film Properties

  • 6.1. Introduction
  • 6.2. Dielectric Deposition
    • 6.2.1. Silicon Dioxide
      • 6.2.1.1 Thermal CVD
      • 6.2.1.2 PECVD
      • 6.2.1.3 HDPCVD
    • 6.2.2. Silicon Nitride
      • 6.2.2.1 Thermal CVD
      • 6.2.2.2 PECVD
      • 6.2.2.3 HDPCVD
    • 6.2.3. High-K Dielectrics
    • 6.2.4. Low-K Dielectrics
  • 6.3. Metal Deposition
    • 6.3.1. Aluminum
    • 6.3.2. Tungsten/Tungsten Silicide
    • 6.3.3. Titanium Nitride

Chapter 7 Vendor Issues

  • 7.1. Introduction
  • 7.2. 450mm Processing
  • 7.3. Integrated Processing
  • 7.4. Copper
  • 7.5. Metrology
  • 7.6. ESD
  • 7.7. Parametric Test

Chapter 8 Market Forecast

  • 8.1. Introduction
  • 8.2. Key Issues
    • 8.2.1. Interconnect Architectures
      • 8.2.1.1 Logic (MPU/ASIC)
      • 8.2.1.2 Memory (Flash)
    • 8.2.2. Processing Trends
      • 8.2.2.1 Dielectric Film Trends
      • 8.2.2.2 Barrier Film Trends
      • 8.2.2.3 Conductor Film Trends
    • 8.2.3. Through-Si-Via (TSV), 3d Stacking Technology
      • 8.2.3.1 Introduction
      • 8.2.3.2 Through Si Via Technologies
    • 8.2.4. Emerging Interconnect Solutions
      • 8.2.4.1 Overview
      • 8.2.4.2 Cu Replacements
  • 8.3. Market Forecast Assumptions
  • 8.4. Market Forecast
    • 8.4.1. Chemical Vapor Deposition
    • 8.4.2. Physical Vapor Deposition
    • 8.4.3. Copper Electroplating Market
    • 8.4.4. Atomic Layer Deposition Market

FIGURES

  • 3.1. Schematic Of Sputtering System
  • 3.2. Magnetron Sputtering Design
  • 3.3. Showerhead Reactor Design
  • 3.4. Ionized PVD
  • 4.1. APCVD Reactor
  • 4.2. Tube CVD Reactor
  • 4.3. HDPCVD Reactor
  • 4.4. ALD Versus PVD Copper Barrier
  • 5.1. Copper Electroplating System
  • 7.1. Comparison Between Semiconductor and Equipment Revenues
  • 8.1. Worldwide MCVD Market Shares
  • 8.2. Worldwide DCVD Market Shares
  • 8.3. Worldwide DCVD Market By Sectors
  • 8.4. Worldwide HDHCVD Market Shares
  • 8.5. Worldwide PECVD Market Shares
  • 8.6. Worldwide SACVD Market Shares
  • 8.7. Worldwide LPCVD Market Shares
  • 8.8. Worldwide PVD Market Shares
  • 8.9. Worldwide ECD Market Shares
  • 8.10. Worldwide ALD Market Shares

TABLES

  • 8.1. Cu Replacements And Native Device
  • 8.2. Worldwide CVD Market Forecast
  • 8.3. Worldwide MCVD Market Shares
  • 8.4. Worldwide DCVD Market Shares
  • 8.5. Worldwide HDPCVD Market Forecast
  • 8.6. Worldwide HDPCVD Market Shares
  • 8.7. Worldwide PECVD Market Forecast
  • 8.8. Worldwide PECVD Market Shares
  • 8.9. Worldwide SACVD Market Forecast
  • 8.10. Worldwide SACVD Market Shares
  • 8.11. Worldwide LPCVD Market Forecast
  • 8.12. Worldwide LPCVD Market Shares
  • 8.13. Worldwide PVD Market Forecast
  • 8.14. Worldwide PVD Market Shares
  • 8.15. Worldwide ECD Market Forecast
  • 8.16. Worldwide ALD Market Forecast
  • 8.16. ALD Applications