市場調査レポート
商品コード
1266878

マスクの製造、検査、リペア:市場分析と戦略的課題

Mask Making, Inspection, and Repair: Market Analysis and Strategic Issues

出版日: | 発行: Information Network | ページ情報: 英文 | 納期: 2~3営業日

価格
価格表記: USDを日本円(税抜)に換算
本日の銀行送金レート: 1USD=155.76円
マスクの製造、検査、リペア:市場分析と戦略的課題
出版日: 2024年03月01日
発行: Information Network
ページ情報: 英文
納期: 2~3営業日
  • 全表示
  • 概要
  • 図表
  • 目次
概要

当レポートでは、米国および世界の半導体産業におけるマスク製造、検査、リペア分野について調査し、市場に影響を与える戦略的課題を取り上げています。また、市場の地域別動向と市場の予測、市場シェアなどもまとめています。

目次

第1章 イントロダクション

第2章 エグゼクティブサマリー

第3章 技術的問題

  • マスク製造
    • マスクブランク
    • コンプリートマスク
  • マスク製造装置
    • 電子ビームシステム
    • レーザーパターンジェネレータ
  • マスク検査
    • マスク欠陥
  • マスクリペア
    • レーザーリペア
    • 集束イオンビームリペア
    • その他のリペア方法

第4章 ユーザー-ベンダー戦略

  • ユーザーニーズの確立
  • 競争ベンダーの機会

第5章 市場予測

  • 駆動力
    • イントロダクション
    • ICプロセス技術の動向
    • マスクとレチクルの要件
    • 高速ターンアラウンドデバイス
    • 直接書き込み電子ビームとX線の影響
  • 市場予測の前提条件
  • マスク製作・検査・リペア
    • コンプリートマスク市場
    • レチクル・マスク製造装置
図表

List of Figures

  • 3.1. Light Transmittance of Glasses
  • 3.2. Photomask Fabrication Flow
  • 3.3. Optical Photomask Fabrication Flow
  • 3.4. SCAPLEL Photomask Fabrication Flow
  • 3.5. MaskRigger Software in a Mask Fabrication Process
  • 3.6. Schematic of a Laser Pattern Generator
  • 3.7. Mulith Reference Distribution Aerial Image Formation
  • 3.8. Die-to-Die and Die-to-Database Inspection
  • 3.9. Defect Inspection Practices
  • 3.10. Percentage of Yield Losses
  • 3.11. Yield for Masks
  • 3.12. Yield for Binary Masks
  • 3.13. Schematic of a Focused Ion Beam System
  • 3.14. Illustration of Clear and Opaque Mask Repair
  • 4.1. Write Time Versus Device Complexity
  • 4.2. Subwavelength Gap
  • 4.3. Lithography Requiements
  • 4.4. Phase-Shifting Masks
  • 4.5. iN Phase Mask Design
  • 4.6. Illustration of OPC
  • 4.7. Main NGL Mask Formats
  • 4.8. Mask Costs Versus Feature Size
  • 5.1. Increasing Mask Complexity
  • 5.2. Production Costs for Maskmaking
  • 5.3. Capital Expenditures and Revenues
  • 5.4. Photomask Functionality
  • 5.5. Worldwide Merchant Mask Making Market Shares
  • 5.6. North American Merchant Mask Making Market Shares
  • 5.7. European Merchant Mask Making Market Shares
  • 5.8. Pacific Rim Merchant Mask Making Market Shares
  • 5.9. Japan Merchant Mask Making Market Shares
  • 5.10. Mask Inspection Market Shares
  • 5.11. Mask Metrology Market Shares
  • 5.12. Mask Repair Market Shares
  • 5-13. Photomask Repair Methods

List of Tables

  • 4.1. FIB and Laser Repair Comparison
  • 4.2. NGL Mask Formats
  • 4.3. Cost of Reticle/X-Ray Mask
  • 4.4. Phase Shift Mask and X-Ray Mask Manufacturing
  • 5.1. Roadmap of Mask Inspection
  • 5.2. IC Lithographic Requirements
  • 5.3. Increasing Mask Complexity
  • 5.4. Worldwide Mask Making Market by Feature Size
  • 5.5. Captive Mask Shops
  • 5.6. Worldwide Mask Making Equipment Market Forecast
  • 5.7. Mask Inspection Market Forecast
  • 5.8. Mask Metrology Market Forecast
目次

Each new generation of IC devices brings about a corresponding decrease in linewidths and minimum feature sizes. The technological trends and innovations in IC fabrication processes directly influence the market for masks and mask making equipment. This report examines and projects the technologies involved, their likely developments, why and when their introduction or demise will take place, what problems and choices are facing users, and where the opportunities and pitfalls are.

This report addresses the strategic issues impacting the mask making, inspection, and repair sectors of the semiconductor industry in the U.S. and the world. The mask market is segmented by geographic region. The mask equipment markets are analyzed and projected and market shares presented.

Table of Contents

Chapter 1. Introduction

  • 1.1. The Need For This Report

Chapter 2. Executive Summary

  • 2.1. Summary of Major Issues
  • 2.2. Summary of Market Opportunities

Chapter 3. Technology Issues

  • 3.1. Mask Making
    • 3.1.1. Mask Blanks
    • 3.1.2. Completed Masks
  • 3.2. Mask Making Equipment
    • 3.2.1. Electron Beam Systems
    • 3.2.2. Laser Pattern Generators
  • 3.3. Mask Inspection
    • 3.3.1. Mask Defects
      • Transmission Variations
      • Transparent Defects
      • Nuisance Defects
      • CD Variations
      • Reflectivity Variations
  • 3.4. Mask Repair
    • 3.4.1. Laser Repair
    • 3.4.2. Focused Ion Beam Repair
    • 3.4.3. Other Repair Methods

Chapter 4. User - Vendor Strategies

  • 4.1. Establishing User Needs
    • 4.1.1. Mask Making - Merchant or Captive
    • 4.1.2. Submicron Mask Making Equipment - Laser vs E-Beam
    • 4.1.3. Mask Inspection Equipment
    • 4.1.4. Mask Repair - Laser vs FIB
    • 4.1.5. Phase-Shift Masks
    • 4.1.6. Optical Proximity Correction
    • 4.1.7. NGL Technology Challenges
      • 4.1.7.1. X-Ray Masks
      • 4.1.7.2. EPL Masks
      • 4.1.7.3. EUVL Masks
  • 4.2. Competitive Vendor Opportunities

Chapter 5. Market Forecast

  • 5.1. Driving Forces
    • 5.1.1. Introduction
    • 5.1.2. Trends in IC Processing Technology
    • 5.1.3. Mask and Reticle Requirements
    • 5.1.4. Fast Turnaround Devices
    • 5.1.5. Impact of Direct Write E-Beam and X-Ray
  • 5.2. Market Forecast Assumptions
  • 5.3. Mask Making, Inspection, and Repair
    • 5.3.1. Completed Mask Market
    • 5.3.2. Reticle/Mask Manufacturing Equipment