市場調査レポート
商品コード
1266893

サブ100nmリソグラフィ:市場分析と戦略的問題

Sub-100nm Lithography: Market Analysis and Strategic Issues

出版日: | 発行: Information Network | ページ情報: 英文 | 納期: 2~3営業日

価格
価格表記: USDを日本円(税抜)に換算
本日の銀行送金レート: 1USD=152.41円
サブ100nmリソグラフィ:市場分析と戦略的問題
出版日: 2024年02月01日
発行: Information Network
ページ情報: 英文
納期: 2~3営業日
  • 全表示
  • 概要
  • 図表
  • 目次
概要

当レポートでは、サブ100nmリソグラフィ市場について調査分析し、リソグラフィの問題と動向、市場予測などの情報を提供しています。

目次

第1章 イントロダクション

第2章 エグゼクティブサマリー

第3章 リソグラフィの問題と動向

  • 光学システム
    • イントロダクション
    • 深紫外線(DUV)
  • EUV
  • ナノインプリントリソグラフィ
  • X線リソグラフィ
  • 電子線リソグラフィ
  • イオンビームリソグラフィ

第4章 ユーザー - サプライヤー戦略

  • リソグラフィのニーズの決定
  • ベンダーのベンチマーク
  • 競合環境
  • クラス1クリーンルーム向け装置
  • 未来の工場に向けた装置
  • 機会

第5章 市場予測

  • 促進要因
    • 技術動向
    • 経済動向
    • 光学的限界
  • 市場予測の前提条件
  • 市場予測
    • 背景
    • リソグラフィ市場の予測
    • 市場シェア
  • EUVカスタマーインサーション
図表

LIST OF TABLES

  • 2.1. Comparison Between DUV And EUV For Multiple Patterning
  • 3.1. Characteristics Of I-Line Stepper
  • 3.2. Comparison Of Different DUV Lithography Systems
  • 3.2. Characteristics of X-Ray Systems
  • 5.1. Worldwide Capital Spending
  • 5.2. DRAM Lithographic Requirements
  • 5.3. Worldwide Optical Stepper Market Forecast - Revenues
  • 5.4. Worldwide Optical Stepper Market Forecast - Units
  • 5.5. Worldwide Optical Stepper Market Forecast - ASPs
  • 5.6. Worldwide Stepper Market Shares
  • 5.7. EUV Customer's Technology Roadmaps

LIST OF FIGURES

  • 3.1. Evolution Of Lithography Systems
  • 3.2. Excimer Laser Evolution
  • 3.3. Schematic Of EUV System
  • 3.4. Thermoplastic Nanoimprint Lithography Process
  • 3.5. Step and Flash Nanoimprint Lithography Process
  • 3.6. Illustration of X-Ray Lithography
  • 3.7. Schematic Of Scalpel Electron Beam System
  • 3.8. Multi-Source E-Beam Lithography
  • 3.9. Ion Projection Lithography System
  • 4.1. Technology Nodes Through
  • 4.2. EUV Cost Of Ownership
  • 4.3. Imprint Cost Of Ownership
  • 5.1. Lithography Market Vs Equipment Market
  • 5.2. DUV Immersion Vs EUV
  • 5.3. Lithography Extensions
  • 5.4. Lithography Cost of Ownership
  • 5.5. Segmentation of Stepper/Scan Shipments
  • 5.6. Market Shares of Vendors (Units)
  • 5.7. Unit Market Shares of Vendors
  • 5.8. Worldwide I-Line Market Shares
  • 5.9. Worldwide 248nm Market Shares
  • 5.10. Worldwide 193nm Dry Market Shares
  • 5.11. Worldwide 193nm Wet Market Shares
  • 5.12. Market Shares of Vendors (Revenues)
目次

Lithography tools are essential if chipmakers are to follow their shrinkage roadmap. Intel, Samsung and TSMC have all set out shrinkage roadmaps for 5nm nodes and below, aiming to deliver chips with superior performance at a lower cost.

ASML is the dominant leader in the semiconductor lithography sector, with Nikon and Canon its chief competitors, and the only manufacturer of EUV lithography.

Chipmakers remain committed to their leading-edge roadmap: ASML's main business drivers are chipmakers' leading-edge roadmaps, which detail the timeline for the development of smaller and more complex semiconductors. We believe chipmakers will increasingly use ASML's extreme ultraviolet lithography (EUV) tool in their manufacturing processes. Our research has found that the main buyers of EUV this year and next year will be TSMC, Samsung and, potentially, Intel: all three are still committed to their roadmaps.

Foundry / logic some customers are leveraging EUV to reduce the number of steps for specific layer; however other layers continue to add additional steps. For DRAM, our conversation highlighted that more complex patterning schemes (vs. foundry / logic) should result in EUV adoption targeted at very specific layers that can provide cost reductions. We note that this will be more vendor dependent and based on design schemes.

Table of Contents

Chapter 1 Introduction

  • 1.1. The Need For This Report

Chapter 2 Executive Summary

  • 2.1. Summary of Major Issues
  • 2.2. Summary of Market Opportunities

Chapter 3 Lithography Issues And Trends

  • 3.1. Optical Systems
    • 3.1.1. Introduction
    • 3.1.2. Deep Ultraviolet (DUV)
  • 3.2. EUV
  • 3.3. Nano-Imprint Lithography
  • 3.4. X-Ray Lithography
  • 3.3. Electron Beam Lithography
  • 3.4. Ion Beam Lithography

Chapter 4 User - Supplier Strategies

  • 4.1. Determining Lithography Needs
  • 4.2. Benchmarking a Vendor
    • 4.2.1. Pricing
    • 4.2.2. Vendor Commitment and Attitudes
    • 4.2.3. Vendor Capabilities
    • 4.2.4. System Capabilities
    • 4.2.5. Vendor Feedback During Equipment Evaluation
    • 4.2.6. Vendor Feedback During Device Production
  • 4.3. Competitive Environment
  • 4.4. Equipment For Class 1 Cleanrooms
  • 4.5. Equipment For the Factory of the Future
  • 4.6. Opportunities

Chapter 5 Market Forecast

  • 5.1. Driving Forces
    • 5.1.1. Technical Trends
    • 5.1.2. Economic Trends
    • 5.1.3. Optical Limitations
  • 5.2. Market Forecast Assumptions
  • 5.3. Market Forecast
    • 5.3.1. Background
    • 5.3.2. Lithography Market Forecast
    • 5.3.3. Market Shares
  • 5.4. EUV Customer Insertion